Realization of Efficient High Throughput Buffering Policies for Network on Chip Router

Full Text (PDF, 426KB), PP.61-70

Views: 0 Downloads: 0

Author(s)

Liyaqat Nazir 1,* Roohie Naaz Mir 1

1. National Institute of Technology, Srinagar, J&K, India

* Corresponding author.

DOI: https://doi.org/10.5815/ijcnis.2016.07.08

Received: 5 Jan. 2016 / Revised: 25 Mar. 2016 / Accepted: 2 May 2016 / Published: 8 Jul. 2016

Index Terms

Network-on-chip, virtual channels, buffers

Abstract

The communication between processing elements is suffering challenges due to power, area and latency. Temporary flit storage during communication consumes the maximum power of the whole power consumption of the chip. The majority of current NoCs consume a high amount of power and area for router buffers only. Removing buffers and virtual channels (VCs) significantly simplifies router design and reduces the power dissipation by a considerable amount. The buffering scheme used in virtual channeling in a network-on-chip based router plays a significant role in determining the performance of the whole network-on-chip based mesh. Elastic buffer (EB) flow control is a simple control logic in the channels to use pipeline flip-flops (FFs) as storage locations. With the use of elastic buffers, input buffers are no longer required hence leading to a simplified router design. In this paper properties of buffers are studied with a test microarchitecture router for several packet injection rates given at an input port. The prime contribution of this article is the evaluation of various forms of the elastic buffers for throughput, FPGA resource utilization, average power consumed, and the maximum speed offered. The article also gives a comparison with some available buffering policies against throughput. The paper presents the synthesis and implementation on FPGA platforms. The work will help NoC designers in suitable simple router implementation for their FPGA design. The implementation targets Virtex5 FPGA and Stratix III device family.

Cite This Paper

Liyaqat Nazir, Roohie Naaz Mir, "Realization of Efficient High Throughput Buffering Policies for Network on Chip Router", International Journal of Computer Network and Information Security(IJCNIS), Vol.8, No.7, pp.61-70, 2016. DOI:10.5815/ijcnis.2016.07.08

Reference

[1]B. Osterloh, H. Michalik, B. Fiethe, K.Kotarowski, “SoCWire: A Network-on-Chip Approach for Reconfigurable System-on-Chip Designs in Space Applications,” in proc of NASA/ESA Conference on Adaptive Hardware and Systems, pp 51-56, june 2008.
[2]Abdelrasul Maher, R. Mohhamed, G. Victor., “Evaluation of The Scalability of Round Robin Arbiters for NoC Routers on FPGA,”7th International symposium on Embedded Multicore/Manycore System-on-chip, pp61-66,2013.
[3]Akram Ben Ahmaed, Abderazek Ben Abdallah, Kenichi, Kuroda, “Architecture and Design of Efficient 3D Network-on-Chip (3D NoC) for custom multicore SoC,” in International confrence on Broadband, Wireless Computing, communication and Application, FIT,Fukuoka, Japan, Nov 2010.
[4]T. Anderson, S. Owicki, J. Saxe, and C. Thacker, “High speed switch scheduling for local area networks,” ACM Trans. Comput. Syst., vol. 11, no. 4, pp. 319–352, Nov. 1993.
[5]M. Karol and M. Hluchyj, “Queueing in high-performance packetswitching,” IEEE J. Select. Areas Commun., vol. 6, pp. 1587–1597, Dec. 1988.
[6]N. McKeown, V. Anantharam, and J. Walrand, “Achieving 100% throughput in an input-queued switch,” in Proc. IEEE INFOCOM ‘96, San Francisco, CA, pp. 296–302.
[7]Yuan-Ying Chang, Huang, Y.S.-C., Poremba, M. Narayanan, V.Yuan, Xie King, C, “Title TS-Router: On maximizing the Quality-of-Allocation in the On-Chip Network,” in IEEE 19th International Symposium on High Performance Computer Architecture (HPCA2013), pp 390-399, Feb 2013.
[8]B. Phanibhushana, K. Ganeshpure, S. Kundu, “Task model for on-chip communication infrastructure design for multicore systems,” in proc of IEEE 29th International Conference on Computer Design (ICCD), pp 360-365, oct 2011.
[9]J. Guo, J. Yao, Laxmi Bhuyan, “An efficient packet scheduling algorithm in network processors,” in proceedings of 24th Annual Joint Conference of the IEEE Computer and Communications Societies ,pp 807- 818, march 2005.
[10]William John Dally, Brain Towels, Principles and Practices of Interconnection Networks, Ist ed. Morgan Kaufmann publications, 2003.
[11]N.Mckeown, “Scheduling algorithms for input buffered cell switches,” Ph.D thesis, University of Calfornia at Berkely, 1995.
[12]K. Lee, Se-jee Lee, hoi-jun Yoo, “A Distributed Crossbar Switch Scheduler for On-Chip Networks,” in Custom Integrated Circuits Conference, 2003. Proceedings of the IEEE, pp 671-674, Sept. 2003.
[13]P. Gupta, N. Mckeown, “ Designing and implementing a Fast Crossbar Scheduler,” in proc of Micro, IEEE, vol 19, no 1, pp 20-28, Feb 1999
[14]Kangmin Lee, Se-joong, Hoi-jun Yoo, “A distributed crossbar switch schedular for On-Chip-Networks,” in proceedings of IEEE Custom Integrated Circuits Conference, pp 671-674, 2003.
[15]Nick McKeown, “The iSLIP Scheduling Algorithm for Input-Queued Switches” IEEE/ACM transactions on Networking, vol 7, no, 2, april 1999.
[16]Jin Ouyang, Yuan Xie, “LOFT: A High Performance Network-on-Chip Providing Quality-of-Service Support,” in 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp 409-420, Dec 2010.
[17]G. jose, Delgado-Frias, B.R Girish, “A VLSI Crossbar switch wih Wrapped Wave Front Arbitrarion,” IEEE transaction on circuits and systems-I: Fundamental theory and applications, Vol 50, No 1, jan 2003.
[18]http://www.xilinx.com.
[19]Dally, W.J., "Virtual-channel flow control," in Parallel and Distributed Systems, IEEE Transactions on, vol.3, no.2, pp.194-205, Mar 1992.
[20]Michelogiannakis, G.; Dally, W.J., "Elastic Buffer Flow Control for On-Chip Networks," in Computers, IEEE Transactions on, vol.62, no.2, pp.295-309, Feb. 2013.
[21]G. Michelogiannakis, J. Balfour, and W.J. Dally, “Elastic Buffer Flow Control for On-Chip Networks,” Proc. IEEE 15th Int’l Symp. High-Performance Computer Architecture (HPCA ’09), pp. 151-162, 2009.
[22]Seitanidis, I.; Psarras, A.; Chrysanthou, K.; Nicopoulos, C.; Dimitrakopoulos, G., "ElastiStore: Flexible Elastic Buffering for Virtual-Channel-Based Networks on Chip," in Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.23, no.12, pp.3015-3028, Dec. 2015.
[23]Marculescu, Radu, et al. "Outstanding research problems in NoC design: system, microarchitecture, and circuit perspectives." Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on 28.1 (2009): 3-21.
[24]Peh, Li-Shiuan, and William J. Dally. "Flit-reservation flow control." High-Performance Computer Architecture, 2000. HPCA-6. Proceedings. Sixth International Symposium on. IEEE, 2000.
[25]Saastamoinen, I.; Alho, M.; Nurmi, J., "Buffer implementation for Proteo network-on-chip," in Circuits and Systems, 2003. ISCAS '03. Proceedings of the 2003 International Symposium on, vol.2, no., pp.II-113-II-116 vol.2, 25-28 May 2003.
[26]Mello, Aline, et al. "Virtual channels in networks on chip: implementation and evaluation on hermes NoC."Proceedings of the 18th annual symposium on Integrated circuits and system design. ACM, 2005.
[27]Gharan, M.O.; Khan, G.N., "A Novel Virtual Channel Implementation Technique for Multi-core On-chip Communication," in Applications for Multi-Core Architectures (WAMCA), 2012 Third Workshop on, vol., no., pp.36-41, 24-25 Oct. 2012.
[28]Attia, B.; Abid, N.; Chouchen, W.; Zitouni, A.; Tourki, R., "VCRBCM: A low latency virtual channel router architecture based on blocking controller manger," in Systems, Signals & Devices (SSD), 2013 10th International Multi-Conference on, vol., no., pp.1-8, 18-21 March 2013.
[29]Mukherjee, Shubhendu S., et al. "The Alpha 21364 network architecture."Hot Interconnects 9, 2001.. IEEE, 2001.
[30]Peh, Li-Shiuan, and William J. Dally. "A delay model and speculative architecture for pipelined routers."High-Performance Computer Architecture, 2001. HPCA. The Seventh International Symposium on. IEEE, 2001.
[31]Mullins, Robert, Andrew West, and Simon Moore. "Low-latency virtual-channel routers for on-chip networks."ACM SIGARCH Computer Architecture News. Vol. 32. No. 2. IEEE Computer Society, 2004.
[32]Jerger, Natalie Enright, and Li-Shiuan Peh. "On-chip networks." Synthesis Lectures on Computer Architecture 4.1 (2009): 1-141.
[33]Balfour, James, and William J. Dally. "Design tradeoffs for tiled CMP on-chip networks." Proceedings of the 20th annual international conference on Supercomputing. ACM, 2006.
[34]Kim, John, James Balfour, and William Dally. "Flattened butterfly topology for on-chip networks."Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 2007.
[35]Kumar, Amit, et al. "Express virtual channels: towards the ideal interconnection fabric." ACM SIGARCH Computer Architecture News. Vol. 35. No. 2. ACM, 2007.
[36]Jain, Tushar NK, et al. "Asynchronous Bypass Channels for Multi-Synchronous NoCs: A Router Microarchitecture, Topology, and Routing Algorithm." Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on 30.11 (2011): 1663-1676.
[37]Ramanujam, R.S.; Soteriou, V.; Bill Lin; Li-Shiuan Peh, "Extending the Effective Throughput of NoCs With Distributed Shared-Buffer Routers," in Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on , vol.30, no.4, pp.548-561, April 2011.
[38]Serfozo, Richard. Introduction to stochastic networks. Vol. 44. Springer Science & Business Media, 2012.
[39]So, Kut C., and E. Chin Ke-tsai. "Performance bounds on multiserver exponential tandem queues with finite buffers." European journal of operational research 63.3 (1992): 463-477.
[40]Perros, H.G.; Altiok, Tayfur, "Approximate analysis of open networks of queues with blocking: Tandem configurations," in Software Engineering, IEEE Transactions on, vol.SE-12, no.3, pp.450-461, March 1986.
[41]Buyukkoc, C., "An approximation method for feedforward queueing networks with finite buffers a manufacturing perspective," in Robotics and Automation. Proceedings. 1986 IEEE International Conference on, vol.3, no., pp.965-972, Apr 1986.
[42]Bjerregaard, Tobias, and Jens Spars?. "Scheduling discipline for latency and bandwidth guarantees in asynchronous network-on-chip." Asynchronous Circuits and Systems, 2005. ASYNC 2005. Proceedings. 11th IEEE International Symposium on. IEEE, 2005.
[43]Kleinrock, Leonard. Theory, volume 1, Queueing systems. Wiley-interscience, 1975.