A Review of NBTI Degradation and its Impact on the Performance of SRAM

Full Text (PDF, 665KB), PP.57-65

Views: 0 Downloads: 0

Author(s)

Umesh Dutta 1,* M.K Soni 1 Manisha Pattanaik 2

1. Department of Electronics and Communication Engineering, FET, MRIU Faridabad, Haryana, India

2. ICT Faculty, ABV-IIITM Gwalior, Madhya Pradesh, India

* Corresponding author.

DOI: https://doi.org/10.5815/ijmecs.2016.06.08

Received: 2 Mar. 2016 / Revised: 2 Apr. 2016 / Accepted: 10 May 2016 / Published: 8 Jun. 2016

Index Terms

NBTI, Positive Bias Temperature Instability (PBTI), Static Noise Margin (SNM), Hot Carrier Injection (HCI), Reliability, Threshold Voltage, RD model, SRAM, Genetic Algorithm (GA), Body Bias (BB), Yield

Abstract

Temporal degradation of VLSI design is a major reliability concern for highly scaled silicon IC technology. Negative Bias Temperature Instability (NBTI) in particular is a serious threat affecting the performance of both digital and analog circuits with time. This paper presents a review of NBTI degradation, its mechanism and various factors that affect the degradation caused by NBTI. Reaction Diffusion (RD) model based analytical expressions developed by various researchers are also discussed along with their features and underlying assumptions. Degradation in the Static RAM (SRAM) performance caused by NBTI is also discussed in detail along with the strategies that are employed to combat the effect of NBTI degradation in SRAM. Results of the review done for SRAM cell under NBTI degradation suggests that these design strategies are effective in improving the SRAM cell performance.

Cite This Paper

Umesh Dutta, M.K Soni, Manisha Pattanaik, "A Review of NBTI Degradation and its Impact on the Performance of SRAM", International Journal of Modern Education and Computer Science(IJMECS), Vol.8, No.6, pp.57-65, 2016. DOI:10.5815/ijmecs.2016.06.08

Reference

[1]M.A. Alam, S. Mahapatra, “A Comprehensive Model of PMOS NBTI degradation”, Elsevier, Microelectronics Reliability, vol.45, pp.71-81, 2005.
[2]Kunhyuk Kang, Haldun Kufluoglu, Kaushik Roy, Muhammad Ashraful Alam, “Impact of Negative-Bias Temperature Instability in Nanoscale SRAM Array:Modeling and Analysis”, IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, vol.26, no.10, pp.1770-1780, Oct. 2007.
[3]Deal BE, Sklar M, Grove AS, Snow EH, “Characteristics of the surface-state charge(Qss) of thermally oxidized silicon”, JElectrochem Soc, 1967;114:266.
[4]Jeppson KO, Svensson CM, “Negative bias stress of MOS devices at high electric fields and degradation of MOS devices”, J Appl Phys 1977;48:2004-14.
[5]Kimizuka N, Yamamoto T, Mogami T, Yamaguchi K, Imai K, Horiuchi T, “ The impact of bias temperature instability for direct tunneling ultra-thin gate oxide on MOSFET scaling”, In: Proc VLSI Tech Symp, 1999.pp.73-4.
[6]Schroder DK, Babcock JA, “ Negative bias temperature instability:road to cross in deep submicron semiconductor manufacturing”, Appl Phys Lett 2003;94:1-18.
[7]Sarvesh Bhardwaj, Wenping Wang, Rakesh Vattikonda, Yu Cao, Sarma Vrudhula, “ Predictive Modeling of the NBTI Effect for Reliable Design”, IEEE 2006 Custom Integrated Circuits Conference, pp. 189-192, 2006.
[8]Haldun Kufluoglu, Muhammad Ashraful Alam, “A Generalised Reaction- Diffusion Model With Explicit H-H2 Dynamics for Negative- Bias Temperature-Instability (NBTI) Degradation”, IEEE Transactions on Electron Devices, vol.54, no. 5, pp.1101-1107, May 2007.
[9]Wenping Wang, Vijay Reddy, Anand T. Krishnan, Rakesh Vattikonda. Srikanth Krishnan, Yu Cao, “ Compact Modeling and Simulation of Circuit Reliability for 65-nm CMOS Technology”, IEEE Transactions on Device and Materials Reliability, vol.7, no.4, pp.509-517, Dec. 2007.
[10]Rakesh Vattikonda, Wenping Wang, Yu Cao, “Modeling and Minimization of PMOS NBTI Effect for Robust Nanometer Design”, in Proc.Des.Autom. Conf.,2006, pp.1047-1052.

[11]A.T.Krishnan, V.Reddy, S.Chakravarthi, J.Rodriguez, S.John, S.Krishnan, “NBTI impact on transistor and circuit:Models, mechanisms and scaling effects”, in proc.iedm,2003,pp.14.5.1-14.5.4
[12]Bipul C.Paul, Kunhyuk Kang, Haldun Kufluoglu, Muhammad A. Alam, Kaushik Roy, “Negative Bias Temperature Instability:Estimation and Design for Improved Reliability of Nanoscale Circuits”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.26,no.4,pp.743-751, April 2007.
[13]J.H. Stathis, S.Zafar, “The negative bias temperature instability in MOS devices: A review”, Microelectronics Reliability 46 (2006) 270-286.
[14]H.Hussin, N.Soin, N.M.Karim, S.F. Wan Muhamad Hatta, “On the effects of NBTI degradation in p-MOSFET devices”, Physica B 407 (2012) 3031-3033.
[15]R.Wittman, H.Puchner, L.Hinh, H.Ceric, A.Gehring, S.Selberherr, “Simulation of Dynamic NBTI Degradation for a 90nm CMOS Technology”, NSTI-NanoTech 2005 vol.3, pp.29-32, 2005.
[16]Luo Yong, Huang Daming, Liu Wenjun, Li Mingfu, “Boundary condition and initial value effects in the reaction-diffusion model of interface trap generation/recovery”, Journal of Semiconductors, vol.30, no.7,074008-1 -074008-6, July 2009.
[17]G.Chen, M.F.Li, C.H. Ang, J.Z. Zheng, D.L. Kwong, “Dynamic NBTI of p-MOS Transistors and Its Impact on MOSFET Scaling”, IEEE Electron Device Letters, pp.1-3, 2002.
[18]2Dieter K. Schroder, “Negative bias temperature instability:What do we understand?”, Microelectronics Reliability 47 (2007) 841-852.
[19]Sanjay V.Kumar, Chris H.Kim, and Sachin S. Sapatnekar, “Impact of NBTI on SRAM Read Stability and Design for Reliability”,Proc. Int. Symp. Quality Electon.Des, 2006.
[20]Kunhyuk Kang, Sakshi Gangwal, Sang Phill Park and Kaushik Roy, “NBTI Induced Performance Degradation in Logic and Memory Circuits:How Effectively Can We Approach a Reliability Solution?”, ASP-DAC ‟08 Proceedings of the 2008 Asia and South Pacific Design Automation Conference, pp.726-731.
[21]S.Drapatz, G.Georgakos, D.Schmitt, “Impact of negative and positive bias temperature stress on 6T–SRAM cells”, Advances in Radio Science, 7, pp.191-196, 2009.
[22]Tony Tae-Hyoung Kim, Zhi Hui Kong, “Impact Analysis of NBTI/PBTI on SRAM VMIN and Design Techniques for Improved SRAM VMIN.”, Journal of Semiconductor Technology and Science, vol.13,no.2,pp.87-97, April 2013.
[23]N.Goel, P.Dubey,J.Kawa, S.Mahapatra, “Impact of Time-Zero and NBTI Variabaility on Sub-20nm FinFET based SRAM at Low Voltages”, IRPS 2015, IEEE,pp. CA.5.1-CA.5.7.
[24]Taniya Siddiqua and Sudhanva Gurumurthi, “Recovery Boosting: A Technique to enhance NBTI recovery in SRAM Arrays”, ISVLSI, 2010 IEEE Computer Society Annual Symposium pp.393-398.
[25]Wenping Wang, Shengqi Yang, Sarvesh Bharadwaj, Rakesh Vattikonda, Sarma Vrudhula, Frank Liu, Yu Cao, “The Impact of NBTI on the Performance of Combinational and Sequential Circuits”, DAC 2007, Proceedings of the 44th annual design automation conference, pp.364-369.
[26]Masaoud Houshmand Kaffashian, Reza Lotfi, Khalil Mafineezhadand, Hamid Mahmoodi, “ An optimization
method for NBTI-aware design of domino logic circuits in nano-scale CMOS”, IEICE Electronics Express, vol.8, no.17, pp.1406-1411.
[27]Yao Wang, Sorin Cotofana, Liang Fang, “ A Unified Aging Model of NBTI and HCL Degradation towards Lifetime Reliability Management for Nanoscale MOSFET Circuits”, Nanoscale Architectures (NANOARCH), 2011 IEEE/ACM International Symposium, pp. 175 – 180.
[28]Bipul C Paul, Kunhyuk Kang, Haldun Kufluoglu, Muhammad Ashraful Alam and Kaushik Roy, “ Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits”, EDAA 2006.
[29]Benton H. Calhoun, Anantha Chandrakasan, “ Analyzing Static Noise Margin for Sub-threshold SRAM in 65nm CMOS”, ESSCIRC, Grenoble, France, 2005.
[30]Evert Seevinck, Frans J. List, Jan Lohstroh, “ Static – Noise Margin Analysis of MOS SRAM Cells”, IEEE Journal of Solid –State Circuits, vol.sc-22, no.5, pp.748-754, Oct. 1987.
[31]Saibal Mukhopadhyay, Hamid Mahmoodi, Kaushik Roy, “ Reduction of Parametric Failures in Sub-100-nm SRAM Array Using Body Bias”, IEEE Transcations on Computer –Aided Design of Integrated Circuits and Systems, vol.27, no.1, pp.174-183, Jan.2008.
[32]Zhenyu (Jerry) Q, Mircea R. Stan, “ NBTI Resilient Circuits Using Adaptive Body Biasing”, GLSVLSI‟08, ACM 978-1-59593-999-9/08/05, pp.285-290.