Technical Study on Low Power VLSI methods

Full Text (PDF, 214KB), PP.60-70

Views: 0 Downloads: 0

Author(s)

T.Kapilachander 1,* I.Hameem Shanavas 2 V.Venkataraman 3

1. Sudharsan College of Engineering,Trichy,India

2. M.V.J College of Engineering, Bangalore,India

3. Vel Tech,Chennai,India

* Corresponding author.

DOI: https://doi.org/10.5815/ijieeb.2012.01.08

Received: 2 Oct. 2011 / Revised: 8 Dec. 2011 / Accepted: 13 Jan. 2012 / Published: 8 Feb. 2012

Index Terms

Logic restructuring, Clock tree and clock Gating, Transistor Sizing, Pin swapping, multi-threshold voltage, Dynamic voltage scaling, DVFS, power gating, Memory splitting, Substrate biasing

Abstract

In recent days every application must need power management. In this paper we presented a various techniques to handle the power management in IC. Power dissipation in a IC is base on power used by the IC and also by heat dissipation. To reduce energy use or to minimize heat dissipation some of the techniques are briefly discussed in this paper. Power management is becoming an increasingly urgent problem for almost every category of design and application, as power density, measured in watts per square millimeter, rises at an alarming rate. Power needs to be considered at the very early stages of a design, when the opportunity to save power is at a maximum. At the same time, making a design extremely power efficient results in trading off area and/or timing. For a Integrated Circuit (IC) perspective, effective energy management for a SoC (System-on-a-chip) must be built into the design starting at the architecture stage; and low-power techniques need to be employed at every stage of the design, from RTL (Register Transfer Level) to GDSII. This paper explains about the combination of techniques used for low power approach in integrated circuits (IC) or Chip

Cite This Paper

T.Kapilachander, I.Hameem Shanavas, V.Venkataraman, "Technical Study on Low Power VLSI methods", International Journal of Information Engineering and Electronic Business(IJIEEB), vol.4, no.1, pp.60-70, 2012. DOI:10.5815/ijieeb.2012.01.08

Reference

[1]Transistor and pin reordering for gate oxide leakage reduction in dual circuit

[2]J.Kaoetal “Transistor sizing issues and tool for multi threshold CMOS technology” in proceedings of ACM/IEEE DAC pp.409-414,JUN 1997

[3]QqiangMQ & Evangeline “Voltage island – Driven floor planning” in proceeding of IEEE pp644-649 2007

[4]Stephen, Mike, Hook “Enhanced multi threshold (MTCMOS) circuit usinh variable well bias” in ISPED’01/ACM pp165-169 August 2001

[5]Chang, Cheng “Post layout logic restructuring using alternative wires” in Proceedings of IEEE pp587-596 August 1996.

[6]W.Chen, C.T Hsieh, M.Pedram “Gate sizing with controlled Displacement” in Proceedings of international symposium on physical design pp127-132 1999

[7]O.Coudert, R.Haddad, “New algorithm for gate sizing comparative study” in proceedings of 33rd Design Automation Confrence pp734-789 Jun 1996

[8]M.Hashimoto, HiOnodera & K.Tumara “A practical gate resizing technique considering glitch reduction for low power desing” in proceedings of Design Automation Conference 1999

[9]J.M.Cheng & M.Pedram “Energy minimization usig multi supply voltage” IEEE Transaction on VLSI System Vol 5: no: 4 pp 1- 8 December 1992

[10]C.Chen & M.Sarrafzadeh “ A Efficient algorithm for gatelevel power delay trade of using two voltages” International conference on computer Design pp222-227 October 1999

[11]Qing Wu, M.Pedram & Xunwei “ Clock gating and its application to low power design of sequential circuits” in IEEE Transction pp415-420 in Vol-47 no103 March 2000

[12]Oliver Coudert, “Gate Sizing for Constrained delay/power/area optimization” in IEEE Transcation on VLSI Design September 1997

[13]P.J.Shah, P.Patil, V.M.Deshmukh & P.H.Zope. “ Low Power VLSI Design using Dynamic Thershold logic” in proceedings of SPIT – IEEE Colloquium international Conference Mumbai Vol-2.121

[14]S.I.Mutuh, T.Douseki, “ I-V power supply high speed digital circuit technology with multi threshold voltage CMOS” in IEEE Journel of solid state circuits Vol-30 August 1995

[15]D.E.Lackey, Paul.S, Zuchuwski.T.rBedmur, “Managing power and performance for system on chip Design using voltage island

[16]Kai Chang Wu, Diana Marculescu,”Joint logic restructuring and pin reordering against NBTI-induced performance degradation”. Proceedings of the Conference on Design, Automation and Test in Europe European Design and Automation Association 3001 Leuven, Belgium, ©2009 ,ISBN: 978-3-9810801-5-5

[17]Roy K., Prasad S.C., “Circuit activity based logic synthesis for low power reliable operations,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems”, Volume 1, Issue 4, Dec. 1993, pp 503 – 513

[18]Monica Donno, Alessandro Ivaldi, Luca Benini, Enrico Macii,” Clock-tree power optimization based on RTL clock-gating”, Proceedings of the 40th annual Design Automation , ACM New York, NY, USA ©2003, ISBN:1-58113-688-9 

[19]Weixiang Shen; Yici Cai; Xianlong Hong; Jiang Hu; “An Effective Gated Clock Tree Design Based on Activity and Register Aware Placement” , IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Volume: 18 Issue:12, page(s): 1639 - 1648 ISSN: 1063-8210 

[20]Seongmoo Heo and Krste Asanovi´ c “Dynamically Resizable Static CMOS Logic for Fine-Grain Leakage Reduction”, MIT CSAIL Technical Report (MIT-LCS-TR-957), July 2004. 

[21]A. Khan, P. Watson, G. Kuo et all. “A 90nm Power Optimization Methodology and its’ Application to the ARM1136JF-S Microprocessor”, 2005 IEEE Custom Integrated Circuits Conference, San Jose, CA.September 21, 2005

[22]Le, H.-B. Do, X.-D. Lee, S.-G.” Compact low-power high-slew-rate buffer for LCD driver application”,IEEE Electronics Letters ,Volume: 46 Issue: 20 ,On page(s): 1370 - 1371 ISSN: 0013-5194

[23]Yanbin Jiang; Sapatnekar, S.S.; Bamji, C.; Juho Kim; “Interleaving buffer insertion and transistor sizing into a single optimization” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Volume: 6 Issue:4 On page(s): 625 - 633 ISSN: 1063-8210 

[24]Ronald J. Landry, “Low power microcontroller design techniques for mixed-signal applications”, AMI Semiconductor, Inc.Dallas, Texas USA,Industry Article.

[25]Pilar Parra, Antonio Acosta, and Manuel Valencia,” Reduction of Switching Noise in Digital CMOS Circuits by Pin Swapping of Library Cells” , Proceedings of PATMOS conference , 2001.

[26]Behnam Amelifard,” Low-power fanout optimization using multiple threshold voltage inverters” , Proc. ISLPED , 2005.

[27]Wong, M.D.F,” Low power design with multi-Vdd and voltage islands”, ASIC, 2007. ASICON '07. 7th International Conference on Issue Date: 22-25 Oct. 2007 On page(s): 1325 - 1325

[28]Li Shang Li-Shiuan Peh Niraj K. Jha,” Dynamic Voltage Scaling with Links for Power Optimization of Interconnection Networks”, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings. Issue Date: 12 Feb. 2003 On page(s): 91 - 102 ISSN: 1530-0897

[29]Chung-hsing Hsu, Ulrich Kremer “Dynamic Voltage and Frequency Scaling for Scientific Applications “,Proceedings of the 14th annual workshop on Languages and Compilers for Parallel Computing , 2001 

[30]Shi-Hao Chen; Jiing-Yuan Lin;” Implementation and verification practices of DVFS and power gating “,International Symposium onVLSI Design, Automation and Test, 2009. VLSI-DAT '09. Issue Date: 28-30 April 2009 On page(s): 19 - 22 Print ISBN: 978-1-4244-2781-9

[31]S. Heo and K. Asanovic. “Leakage-biased domino circuitsfor dynamic fine-grain leakage reduction”. Int Symp. on VLSI Circuits, pages 316–319, 2002.

[32]S. Narendra et al. ,”Scaling of stack effect and its application for leakage reduction”. In ISLPED, pages 195–200, Augu 2001.

[33]Y. Ye, S. Borkar, and V. De. ,”A technique for standby leakage eduction in high-performance circuits,”. In Symp. on VLSICircuits, pages 40–41, 1998

[34]Kessler, I.; Sidi, M.;” Splitting algorithms in noisy channels with memory”, INFOCOM '89. Proceedings of the Eighth Annual Joint Conference of the IEEE Computer and Communications Societies. Technology: Emerging or Converging, IEEE Digital Object Identifier: 10.1109/INFCOM.1989.101446 Publication Year: 1989 , Page(s): 136 - 142 vol.1