A Specialized Lightweight Metamorphic Function for KASUMI Metamorphic Cipher and Its FPGA Implementation

Full Text (PDF, 984KB), PP.11-19

Views: 0 Downloads: 0

Author(s)

Rabie A. Mahmoud 1,* A. Baith Mohamed 2

1. Arab Academy for Science, Technology & Maritime Transport (AASTMT), Latakia Branch, Syria

2. Arab Academy of Science, Technology & Maritime Transport (AASTMT), Alexandria, Egypt

* Corresponding author.

DOI: https://doi.org/10.5815/ijcnis.2016.08.02

Received: 1 Jan. 2016 / Revised: 15 Mar. 2016 / Accepted: 3 May 2016 / Published: 8 Aug. 2016

Index Terms

KASUMI, Metamorphic, Lightweight, Cipher, FPGA

Abstract

To enhance the performance of the KASUMI Metamorphic Cipher, we apply a lightweight Metamorphic Structure. The proposed structure uses four lightweight bit-balanced operations in the function Meta-FO of the KASUMI Metamorphic Cipher. These operations are: XOR, INV, XNOR, and NOP for bitwise XOR, invert, XNOR, and no operation respectively building blocks of the Specialized Crypto Logic Unit (SCLU). In this work, we present a lightweight KASUMI Specialized-Metamorphic Cipher. In addition, we provide a Field Programmable Gate Array (FPGA) implementation of the proposed algorithm modification.

Cite This Paper

Rabie A. Mahmoud, A. Baith Mohamed, "A Specialized Lightweight Metamorphic Function for KASUMI Metamorphic Cipher and Its FPGA Implementation", International Journal of Computer Network and Information Security(IJCNIS), Vol.8, No.8, pp.11-19, 2016. DOI:10.5815/ijcnis.2016.08.02

Reference

[1]Rabie A. Mahmoud, A. Baith Mohamed, Magdy Saeb, “Enhancing KASUMI Security by Affixing A Metamorphic Function and The Ensuing Hardware Implementation,” International Journal of Computer Science and Communication Security (IJCSCS), Vol.6, No.1, Jan., 2016.
[2]3GPP’s site: http://www.3gpp.org
[3]3GPP TS 35.201 Version12.0.0, “3rd Generation Partnership Project; Technical Specification Group Services and System Aspects; 3G Security; Specification of the 3GPP Confidentiality and Integrity Algorithms; Document 1: f8 and f9 Specification,” Sep., 2014.
[4]3GPP TS 35.202 Version12.0.0, “3rd Generation Partnership Project; Technical Specification Group Services and System Aspects; 3G Security; Specification of the 3GPP Confidentiality and Integrity Algorithms; Document 2: KASUMI Specification,” Sep., 2014.
[5]Wikipedia, KASUMI’s site: https://en.wikipedia.org/wiki/KASUMI
[6]Rabie A. Mahmoud, Magdy Saeb, “A Generalized Crypto Logic Unit (GCLU) with Software and Hardware Implementations,” International Journal of Computer Science and Communication Security (IJCSCS), Vol.4, No.1, March, 2014.
[7]Magdy Saeb, “The Stone Cipher-192 (SC-192): A Metamorphic Cipher,” International Journal of Computers and Network Security (IJCNS), Vol.1, No.2, pp.1-7, Nov., 2009.
[8]Rabie A. Mahmoud, Magdy Saeb, “Hardware Implementation of the Stone Metamorphic Cipher,” International Journal of Computer Science and Network Security (IJCSNS), Vol.10, No.8, pp.54-60, 2010.
[9]Magdy Saeb, “Metamorphic Feistel Networks,” International Journal of Computer Science and Communication Security (IJCSCS), Vol.5, No.3, July, 2015.
[10]Rabie A. Mahmoud, Magdy Saeb, “A Metamorphic-Enhanced Twofish Block Cipher And Associated FPGA Implementation,” International Journal of Computer Science and Communication Security (IJCSCS), Vol.2, No.1, Jan., 2012.
[11]Ahmed Helmy, Magdy Saeb, A. Baith Mohamed, “A Metamorphic-Enhanced MARS Block Cipher,” International Journal of Computer Science and Communication Security (IJCSCS), Vol.3, No.4, July, 2013.
[12]Rabie A. Mahmoud, Magdy Saeb, “A Metamorphic-Key- Hopping GOST Cipher and Its FPGA Implementation,” International Journal of Computer Science and Communication Security (IJCSCS), Vol.3, No.7, Oct., 2013.
[13]?etin Kaya Ko?, “Cryptographic Engineering,” Springer, 2009.
[14]Volnei A. Pedroni, “Circuit Design and Simulation with VHDL,” 2nd Edition, MIT Press, 2010.
[15]Andrew Rushton, “VHDL for Logic Synthesis,” 3rd Edition, John Wiley and Sons Ltd Publication, 2011.
[16]Altera’s user-support site: https://www.altera.com/support/support-resources/design-examples/design-software/vhdl.html
[17]ModelSim-Altera’s software-support site: https://www.altera.com/support/support-resources/design-software/modelsim.html